跳至内容

Spotlight on Deposition Solutions from Advanced Energy

已发布 一月 29, 2019 Advanced Energy Editor

It might be a surprise to you to learn that the average diameter of an atom is on the order of 10−8 cm. Then again, if you are designing semiconductor deposition processes, that’s no surprise. You also would understand that controlling the plasma chamber conditions to the level essential for precise deposition uniformity, conformity and smoothness – down to one layer of atoms at a time – depends to a large degree on the power source.

New Demands Driving Increased Process Complexity

While general atomic deposition is well known and relatively refined, deposition processes involving multiple patterning of ICs and 3D NAND memory chips are much more complex. Plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD), advanced processes developed to meet the challenge of packing more computing horsepower into ever-smaller chip geometries, multiply the demand for precision layering – and the consequences of failure.

With tolerances of a million times thinner than a human hair, there’s near-zero margin for error, which means the decision you make for the power source also has an equally low tolerance. Furthermore, you need options and reliability in addition to incredibly precise plasma control when dealing with high aspect ratio features, intricate three-dimensional architectures and new base materials.

We’ve taken all of that into account in our off-the-shelf (and custom, just ask us) precision power conversion solutions:

  • Pinnacle® Diamond series – Available in 8, 10 and 15 kW, our Pinnacle DC power supply provides the highest efficiency and power factor available, resulting in the lowest operating and installed cost in the industry.
  • PDX® Low-Power series – Designed for a wide range of applications, the PDX 1250 and 1400 W mid-frequency power supplies offer a highly efficient, compact, easy-to-integrate power source with a mean time between failure of 200,000 hours.
  • PDX High-Power (HP) series – Enabling operation over a wide frequency range for optimum control of particular specie production, PDX HP comes in 5000 and 8000 W versions.

Complete Solutions, Faster Time-to-Market

Advanced Energy also integrates Fast DAQ™ and our data acquisition and accessibility suite. As part of a complete solution, we to provide process insight and speed development. That means reduced time to market, the agility you need to respond to changing application requirements and visibility into plasma chamber health.

You can learn more about how our solutions for deposition reduce operational costs and improve throughput for power supplies critical to applications spanning from ICs to thin films, and dielectric sputtering to substrate biasing on our web site and by contacting us.

分享

Advanced Energy Editor

Advanced Energy

相关帖子

Blog

Powering What Matters: AE Addresses Four Key Medical Trends at MD&M West 2024

三月 22, 2024

By Todd Huston

The Medical Device & Manufacturing West (MD&M West) tradeshow was held February 6-8 in Anaheim, CA with over 1,600 exhibitors and more than 14,000 attendees from the medical industry. Top medical device and equipment makers, power supply providers, thought leaders and engineers attend. Once again, Advanced Energy (AE) played a significant role as a featured exhibitor.
Advanced Energy AE News Medical News

Blog

Engineered to Power Innovation: New Website for Power, Sensing and Control Integrates Full Artesyn Portfolio

八月 27, 2023

By Peter Gillespie

Advanced Energy is putting design solutions and products at engineers’ fingertips with the unveiling of its new website on August 29, 2023. The new, fully re-designed site now integrates comprehensive information on the Artesyn family of products and ensures rapid access to the key information needed to accelerate the development of systems built around advanced power, sensing and control solutions.
Advanced Energy AE News

Blog

SEMICON West 2023: AE Advances the Angstrom Era with Transformational New Technologies

八月 23, 2023

By Skip Larson

Last month, the semiconductor industry converged on the “City by the Bay” to discuss a wide range of solutions for design, manufacture and test. Under the banner of “Building a Path Forward,” more than 570 exhibitors showcased solutions at San Francisco’s Moscone Center. This year’s discussions focused on the opportunity and challenges the industry will face as semiconductor sales approach the $1 trillion level by 2030, up from $600 million today. Key issues that will either support or hinder the industry include closing technology gaps and critical talent shortages, along with limiting supply chain disruptions and the impact on climate change. What was clear is that delivering high-performance chips with increasingly complex 3D structures at atomic-scale dimensions is demanding more sophisticated wafer process solutions based on real world-processing data to accelerate modeling for faster design turns than ever before.
Advanced Energy AE News Industry News
浏览
类别 A-Z
加入我们的邮件列表
订阅
最新文章
View on X